Zinnia hacks tomorrow. (2003/3)

2003/03/01 (Sat)

_ 私は未だにベストな体調なら波動拳が撃てるんじゃないかと思っています。 かめはめ波は無理かも。

_ hard で loxse な日々より辿った 基礎からのメジャーリーグ講座を 読み耽っていたら仕掛けておいたペヤングソース焼きそばがありえないほど 膨張してしまった。

そのぶん満腹感も尋常じゃないので何一つ問題はない。

_ スキャナーで記録したアナログレコードの画像から音を再生するソフト。 すばらしい。こういう技術は大好きだ。 レコードで思い出したが、 小指の爪の先を尖らせて、それでレコードの溝を嘗めて音を聞くなんていうことが できるひとがいるらしいが。親指を耳の穴に入れて、人差指をレコードの 中心の穴に入れて、反対の手で回すんだとか。

_ ところで最近の「中国緑茶」(緑色の缶)、なんか口のところに 紙がくっついているのだが、あらためて見てみるとなんか変な感じ。

日中緑茶対決!!

20代〜50代男女のほぼ半数が
中国緑茶の方が
「さっぱり・すっきりしている」
と答えました。

※日頃お茶飲料を飲んでいる20代〜50代の男女を対象に、目隠しテストを実施。
云々
などと書いてあって、 という帯グラフがついている。

_ このグラフが出てくるということは、2つのお茶を飲ませてみて 「あなたはどっちの方が『すっきり・さっぱり』していると思いますか」という 質問をしたんだと思うが、なぜその質問になったのかがよく分からん... お茶にとって「すっきり・さっぱり」感というのは他の何よりも大事なことだとは 思えんのだが... それにしても、どっちが〜ですかと聞いておいて (母数が分からんけど)ほぼ同数の人が反対の回答を出しているということは、 どっちも変わらんということにならんか。2%の差というのは、 アンケートとったのが100人なら2人、1万人なら200人の差だし。

_ いまいち何を言いたいのか分からんので、 「詳しくは http://suntory.jp/chugoku/」とある通り、 日中緑茶比較をしてみました。を見る。 見てもやっぱり分からん... Q1とQ5、それからQ2〜Q4は同じことを聞いているようにしか思えないんだが。 対象は160人らしいので2%の差は3人ということに。 まったく同じお茶2つを出してアンケートとっても似たような 結果が出そうな感じだが... 2%上回れば「勝利」なのに対してQ2とQ4で10%の差がついて負けていることに 対しては「惜敗」という表現になり、 同点と惜敗の視覚上の差があんまりないようにデザインされているのもなんというか。

_ 数字を見る限りでは、 質問の内容を工夫すれば、日本人好みのお茶としての完成度の高さをアピールする こともできると思うんだが...そこらへんが惜しい感じ。


_ グリコのFBというのが気に入っている。 クリームチーズを2枚のクッキーで狭んだだけのものなのだが、 私の味覚に犯罪的にマッチする。(匂いはいまひとつ)

_ FBはフレンド・ベーカリーの略であってファーム・バンキングの略ではないらしい。

_ ...

_ 仕事するか....



= アミノ式CM紹介

_ 新堂さんちより。 初めて見た。すごいなあ。この方なら半月斬とかできそう。 というか実写版DOAの霞はぜひこの方に。


_ ああ、気付けばもう3月か...

_ 今朝はタイマー止めるの忘れてCD1枚分まるまる聞いてから (止める気力なし←飲みすぎ) また寝た。昼前に起きて会社に来た。以上。 仕事はどっかの小人さんにやってもらいたいと思っていますがそうはいかないぞ。


_ tdiary-1.5.3入れてみた。なるほどよくできてるわ。



= Windows Powered Smart DisplayとSD10

_ スタパさんのこの記事読む限りではremote desktopそのものっぽいなあと思ったが やはりそうらしい。 remote desktopはかなり便利に使っているので、こういうのが流行して、 次期版あたりでは接続に関する制限(複数ユーザが同時に使えない、 1ユーザでも複数マシンから同時に使えない)が緩和されるといいなと思った。


_ 遅くなりました and ツッコミではありませんが おめでとうございます

_ ペヤングソース焼きそば食ってそろそろ6時間だが少しも腹が減ってないのだが なぜだろう(答え: コーヒー飲みすぎ)。 正面玄関が閉まる前に晩飯買っておくことに。 雪だったり雨だったりで忙しい天気。


= 信玄餅

_ ときどきすげー食べたくなるのだが、 通販という手があったか


_ かめはめ波、 ぼくはむしろに魅かれましたが、 そろそろ電話に出るときに「おっす!おらごくう!」と言っても ギャグとして通じなくなる世代に出会う可能性が出てくるわけで、 私はむしろそれを危惧します。(ぉ 連れ立ってコンビニ行って財布忘れたことに気付いたときに 「オラに元気を分けてくれ〜」とか言えなくなるのかと思うと夜も眠れない。

_ 今はもう憧れとかはないですが、魅かれるのはやっぱり 紋次郎とか主水とかだなあ :D

_ 飛べない乗客、いら立ちの長い列 管制システム障害

「午前10時の出発予定だったが飛ぶめどが立たないのであき
らめた。こんなことは初めて。日ごろのチェックがしっかりして
いないからこんなことになる。遅れについての説明がほとんどな
い」と怒った。
帰省の移動のときなんかは1時間〜2時間の待ち時間が発生することがよくあるけど、 それに比べると、 なぜ遅れてるのか、どのくらい遅れてるのか、いつまで待てばいいのか、 といったあたりが分からずに待つというのはたしかに辛いと思うが、 「日ごろのチェックがしっかりしてないから」なんて、 いくら怒りで冷静さを失っていたとしても、口に出しちゃうっていうのがねえ。

_ でもあらためてPhoenixで同じページ見て、その画像(混雑具合)を 目の当たりにしたら、しかたないのかもという気がしてきた。これはすさまじい。 しかしなんだって土曜に作業を行ったんだろう。


_ 雪混じりの雨がずーーっと降り続けてたらしい。それが積もりだしてなんか ひどいことになっていた。

_ 実家の方でも電車が止まったりで大変な模様。


2003/03/03 (Mon)

_ また/varが溢れた。これで何度目だろう。

_ あれー「わかりやすいデータベース設計技法」(SRC)がないじゃないか。 うぬ今日は仕事するなってことだろうか。

_ 昨日は昼前に起きてDDRやりにいって(途中雨と雪でひどい目にあった)、 帰ってからサザエさん見つつさらにDDRやって、風呂入って、 Netmeetingして寝るような一日だった。どんな一日だよそれ。そうそう The Least 100sec. (Standard)やった。x1.5でやったら流れるのが早すぎて 死亡。そういやBPM260くらいだったっけ... x1で無事にクリア。


= GNU Screenとタイトル

_ 「[ESC]k文字列[ESC]\」というエスケープシーケンスによってWindowごとのタイトルを指定することができます。 これをプロンプトに仕掛けるのが簡単かと。 うちはzshなんですが、[ESC]k%~[ESC]\ をプロンプトに入れることで、 現在のディレクトリをタイトルにすることはできました。 最後に実行したコマンドは...ちょっと考えてみます。

_ zshだとpreexecを使えばよさそう。と思ってさらに 調べてみたら ariさんの設定を発見。これは便利だ。


_ しまったこっちがあるのを忘れていた。


_ 適当に逃げ出してAviUtilとかTMPGEncとかいろいろ。この見通しの悪さは なんだろう。何がどれをどうしてるのかを理解しようとしてないこっちが 悪いのは分かっているつもりだが...

_ うちのマシンでは640x480でキャプチャするのもかなり重労働らしい。 高品質に撮ろうとするとディスクが追っつかないらしくコマ落ちがひどい。 あと20分の動画をencodeしなおすのに4時間かかるらしい。痛し。まあどんなに 時間がかかったとしても手順がきっちり決まってて、勝手にやっといてくれる くらいまで組んでおけば問題ないとは思う。でも早いマシンも欲しいかも。


2003/03/04 (Tue)


= SDL Watchの現在と今後について

_ 私の考えをまとめておきます。

_ まず、今のSDL Watchですが、先日もボヤいた 通り、更新もままならない状態で、このまま置いておくのは 私的にも苦痛ですし、見てくださっている方々にとっても迷惑であろうと 考えています。

_ そんなわけで、現在のSDL Watchの更新は近日中に終了しようかと考えています。 まあ今現在で1ヶ月近く穴を開けてるわけですから、何を今更という感じでしょう けども。

_ といっても、SDLに対する興味を失ったわけではありませんし、書きたいことは 沢山あります。Watchを便利に思って眺めてくださっている方も 世界に4〜5人はいらっしゃるんじゃないかなと思いますので、 別の形(有志による投稿、維持)で運用をやりなおすということを考えています。

_ 前も書いた通り、SDL関連の話題は私の手に負えないほど広いとは思えませんし、 他人様の力に頼るのは心苦しいというか腑抜けているというか、そんな気が するんですが、しかし現在みたいにup to dateに更新できないのだったら 私が今のSDL Watchを維持するのは害でしかないだろう思っていた 折にたむらさんの示唆もあり、新しいサイトを立ち上げようかなあと 思い始めた次第です。 うっかりブロなんとかとかスラなんとかみたいなもんかと 言われると(´・ω・`)ですが、 SDL KBと互いに補いあえるような Watchサイトができたらいいなあと勝手に思っています。

_ どこでやるのか、どんな仕組でやるのか、ということはまったく 決めておりません。tdiaryいじったりしたのもそこらへんの 考察をしたかったからです。

_ いまいちまとまりがありませんが、現状ではこのように考えております。 今後の方向性についてご意見ご感想などありましたらお寄せくださると 大変ありがたいです。

_ では寝ます。なんか外は吹雪だし。

_ ありがとうございます。 道具立てはあとから変更可能ですがURLの変更はなるべく1回で済ませたいので 稼働サイトはよく考えないといかんと思っています。

_ これで記事をガリガリと書いてくれるひとが出てくれば私は楽隠居...じゃなくて Joke Watchに集中できてよいかも :D


_ 春は近いと喜んでいたが、一晩で元の白い世界に戻ってしまった。 すでにアパート入口のドア開くのもひと苦労だったし、 自転車(まさかここまで降るとは思わなかった)で走ってる最中もずっと 降りつづけて黒いコートが真っ白に。

_ なんかTMPGEncとかの処理が終わってたので見た。 320x240でも充分な気がするなあ。音ゲの映像の見過ぎだろうか :D


_ 集中すれば確かに作業は捗るが、集中したままの状態を保つのは大変だ。 3日と持たんことが分かった。単に集中できなくなるだけならまだいいのだが、 反動で何もできなくなってしまうような気がする。 というようなことを思いながら1ポップン。吹雪の中移動していきなり 昭和カヨウ(H)やっても手が動かん。最近のライバルはこれと、 スウェディッシュ2(H)と ヴィジュアル3(H)である。 20台は初見でクリアできるのがほとんどなので (昭和ワルツはどうなんや)(うっ)、 そろそろ30台前半をメインに。しかしtangelineやっぱりいいなあ。 サントラ買おうかしら。

_ 8以前の曲ばかりをいまさらのように。本当は早くAbsoluteとかやりたいんだけど。 あ、あとアレグリアのH/EXがあるという話なのでそれもやってみたい。 マジカルガールと並んで最初期によく練習した曲なので。


_

zinnia@risky-safety.orgはめずらしいメールアドレスですがよろしかったでしょうか!
そりゃいったいどういう意味だ〉某通販サイトというわけで腹いせに いろいろ買物中。なんの腹いせだ。


_ ほほうなるほどそうだったのか。いやあ世間は狭いねえ...


_ すでに注文してあるのを忘れてまた同じもん注文しちゃったよ!! うっはー! しかもポイント全額使った上にDVD-BOXの中巻だけが2つも来ることに。

_ 何を買ったか買わないかを理解してない私がアホで間抜けなのは言うまでもないが、 1ヶ月前にも同じDVD-BOXに対して 「ニューリリース先行予約のご案内」を出しておきながら、 また出すというのはあんまりだ。 反射で注文しちゃったよ(;_;)

_ しょうがないから上と下ももう1組買って実家にプレゼントしよう。 父も母も必殺好きだし。


_ 雪は明日まで全開で降るようだ。明日は歩きだな。というか今日も 自転車で帰りたいという気がしない。といって放置するわけにも いかんしなあ...

_ 某マシンにWiki cloneを仕掛けようと思ったがやっぱり Windowsだと無駄に苦労するなあ。慣れてないせいだろうが... Zopeは以前に入れたことがある(これは簡単だった)ものの、 あまり使う気にはなれんかったし。

_ と全てが済んだように書いているが結局何一つ入ってない。 ActivePerlはダウンロード中にAriaさんが応答なしになってしまい シグナルにもまったく反応してくれない。あと2%だったのに。 とりあえず今日のところは自分のノートにHikiが入っただけ。


= 新幹線品川駅工事 6年間の軌跡

_ Masudaさんちより。 本当に作ってたのか...。ついでに大船あたりにも止まってってくれないかなあ(ぉ

_ 東海道新幹線なんてもう10年以上乗ってないなあ。つまり神奈川以西には もう10年くらい存在してない。ああ2年前に長野に存在したことはあるか。


_ いまいち仕事に身が入らないので残業3時間半で切り上げた。しかし 雪がすごい勢いで降っていたので自転車で帰るのを諦めて歩いて帰ることに。 カッとなってゲームセンターへ寄り道。 むしゃくしゃしていたと後に語るような感じ。

_ 雪靴でDDR2回。とっても疲れた。ポップン2回。スウェディッシュ2(H)は あいかわらず93000点台で進歩なし。

_ などと遊んでいたら雪が止んだので自転車で帰宅。さほど寒いという気は しないんだが、台所洗剤は過去最高の固まり具合だった。逆さにすると 1分後に千切れてボトリと落ちてきた。ママレードジャムを思い起こさせる。 そういえばママレードボーイDVD出るらしいですね。ちょうど横須賀から 山形に移住する前後に見てたので思い出深い。 アメリカ編は別に見たくないけど。

_ 新山志保さんが亡くなってもう3年になるんですね...


2003/03/05 (Wed)

_ 雪はさほどのもんでもなかったので自転車で来た。寒いっす。


_ SDL Watch廃止→別サイト に関する話の続き。

_ rnnはXOOPSを 使ってるんですね。


= 今のSDL Watch

_ 今のシステムは、月ごとに1つのファイルを持ち、そいつにインチキな フォーマットで記事を書いてスクリプト走らすとHTMLになるというような 感じになっています。更新を始めた頃はwatch.htmlにダラダラと追加する だけだったので、もうちょっと楽に書きたいなあと慌てて作った結果なので あまり優れたフォーマットとも思えませんが、 書くこと自体はあまり負担になっていないです。 実際の更新もrsync一発ですので...

_ 現在のSDL Watchのニュース元は、 SDL関係のML(SDL-users、SDL-fan-jp)と、freshmeat newsletterが大部分を 占めています。 ネタ元の8割は英語ですが、その点に関してはあまり苦労はないです。 更新する際に最も時間がかかるのは、自分の読んだメールが Webのどこで参照できるかを調べる作業(MLのアーカイブを探す作業、 freshmeatのproject pageから実際のWebまで辿りつく作業)です。

_ 過去に同じソフトのネタを取り上げた場合は、芋蔓式に過去分の記述、と、 ソフトの解説、を、ひっぱってこれるようにできたら いいかなと思っていますが(WikiNameつけてそこでまとめる、みたいな感じかなあ?)、 現在の仕組が静的生成のためそれも難しいです。もっとも私は本来 (CGIなどによる)動的生成というのはあまり好きではないので、これは 意図したデザインではありますが。静的生成では逆立ちしてもできない、 あるいはとても現実的ではないような機能を提供できるんでなければ、 わざわざ動的生成する必要もないと思いますが話がずれてますね。

_ メールを見たその場でURLを自動的にひっぱってきてある程度の 定型文を出してくれるような機能を作れば、別に今の仕組でも 充分に更新する気力が戻ってくると思いますし、 逆にそのくらいのことをしなければ、場所を変えてもやっぱり 大変だという結論は変わらないかもしれないのですが...



= 必殺仕掛人 上巻

_ のために早目に帰宅(アホ

_ DDRやってフロ入って洗濯して、あとは寝るだけの状態にしてから見た。

_ オープニングの凄惨さは仕置人以上だな... タイトルロゴが 塗りの粗い彫物というのは初めて見た。ものすごくかっこいい。

_ 原作(藤枝梅安シリーズ)は、3年くらい前に読んだことがあって、 緒形拳さんの梅安ってどんなんだろうと思っていたが... なるほど。 本で読んだときの印象に比べると軽いのかな。

_ 途中で出てきた鍋は柳川だろうか。梅安といえば大根を炊いたものという イメージなんだが

_ 後のシリーズでは10両も出ればみんな大興奮といった感じだが、 ここでは30両が最低金額とのこと。仕事のスケールが違うねえ。1話から いきなり奉行やっつけてるし。この最低30両というのは 実行犯(←)に対して支払われる金だから、元締にも当然同額以上は 行ってるわけで、依頼料としては最低100両といった感じなのだろうか。

_ 1話タイトルは「仕掛けて仕損じなし」だがいきなり仕損じとるやんけと思った。 出てくる悪人がみんな悪人面でものすごいことになっている。 おおーこれが噂の「仕掛人...西村左内」かー。

_ ...うーむこれは凄い。スマートなのにどこか豪快さがあるな。 元締、最後の刀はどっから出てきたんだろう...ちょっとびっくり。

_ 1話を見た限りではまだ左内の人物が見えてこない。まあ梅安も元締も同様だが。 ちなみに1話は深作監督であった。いや続きが楽しみだ。 いずれにしても当時の半可通ぶりは目を覆いたい気分だ... なにをどう考えたのかと小一時以下略


2003/03/06 (Thu)

_ すいみん不足気味だったので酒も飲まずに23時過ぎに寝たんだが 余計眠い気がするぞまったく。7時に目が覚めてしまったし。

_ そういえば 研究室の冷蔵庫に半年くらい放置してあったやつは、 なんか上の方がへっこんで水が溜まっていたのを 覚えているが.... あれからさらに2年たった今も残ってるのだろうか。


= しつこくSDL Watchネタ

_ んなもん書いてる暇があったら更新しろというのはごもっともです。

_ こんなことを書いた矢先ですが、どうも なかなかいいサイトがみつかりません。といっても 私の要求がものすごく高いというわけじゃなくて、中身を調べてる暇が とれないという理由です。悩んでる時間がもたいないっす。

_ なので、SDLの日本のドメインができたらそこに引っ越そうかなどとヘタレなことを 考えつつ、今回はURL変更なし、つまりzinnia.dyndns.org内で運用しようかなと 考え始めています(risky-safety.orgからはredirectで対応...かなあ)。 tDiaryで日記風に更新、という線が有力、というかそれ以外は何も考えて いませんが、書く人が少なければそれでも充分かなと思います。

_ 業務連絡: 過去分のconvertはやっぱり自力で(各種実験も兼ねて)やってみようかなと 思います〉たむらさん

_ ToDo:


_ やっとこさyukiwikiが入った。 本来ならこれから(ソースを)いじりたおすところであるが時間がないので略。

_ 編集するたびに改行がどんどん育ってっちゃうんだけど、これやっぱりCRLFが 関係してるのかなあ...@ActivePerl 5.6.0 + YukiWiki 1.6.7

_ 「Wiki 改行コード」で調べるといろいろヒットするみたい。



= VS.NET

_ Windows側の画面のデザイン(色、フォント)をいじった場合の対応の悪さがちょっと ひどいような気がする。あと私のK6-2マシン(128MB)ではちょっと重すぎ。

_ C#.NETメモ


_ m(__)m 過去分のコンバートそのものは現在のparserを流用すればいいので、 10分かからず達成できたんですが、それだけでは面白くないので(←また悪い癖が) さらにいろいろ手を加えています。


_ ↑なんとか形になりそう。

_ 無事1.6Pになったし、寝ます。


2003/03/07 (Fri)

_ 外を移動すると当然冷えるわけだが、よく冷えた目薬をさすととても 気持がいい。

_ あれ、1.6Pにしたらtail -Fがうまく動かなくなったような気がする。 前々から自力で作ろうと思っていたものなので、この機会に作ってみようかしら。

_ ところで腹いせにいろんなもんを注文してしまったのでピンチだ。 沢山残業したって入ってくるのは次の給料だし、悪いことに1月は ほとんど残業してないしインフルエンザで休んだりしたしで あまりお金がないのだった。今ならまだ1日1000円生活で凌げるので、 後戻りできなくなる前に節約せねば。とりあえずゲームは家でやろう。 暴飲暴食も控えよう。遠出は自転車を活用。

_ 今月の給料日は3連休に重なっているんだがこういう場合って 前にもらえるのだろうか。(←何年仕事しとるんや)

_ 自転車を活用といえば、週に3〜4回くらい電車でどっかにでかけて歩きまわるという ことをしていた時期があって、そんときも金がピンチになって、 家でおにぎりとお茶作って持ってたり、家から2〜3駅分は自転車で往復したりで (1駅で90円くらい浮くのだ)なんとか凌いだ記憶がある。 午後の講義1つだけとかいうときは、そんなおでかけを済ませた後に 出たりしてたがよくそんな体力があったなあ(講義中は半寝だけど)


= 音・音場のディジタル処理

_ おもろそう。1〜3章はともかく4〜5章は読みたい。


_ Rubyメモを発掘。 自分勝手な理解に基づくええ加減なメモだがなかなか重宝している。

_ これ書いたのが(半分くらいは書き写しだったと思いますが) 2年前だ。なんだおれRuby歴2年のベテランだったのか(アホ

_ そんなベテランの私が小一時間苦しみ抜いた問題↓

state = 1
if state == 0 then
        STDERR.print "0\n"
else
        if state == 1 then
                STDERR.print "1\n"
        end if
        if state == 2 then
                STDERR.print "2\n"
        else
                STDERR.print "others\n"
        end
end

zinnia@freesia:~[2]% ruby test.rb
others
ありがちなミスなのかもしれませんが今日ほどVBを憎んだことはないかも(逆怨み


_ 大口を叩いておきながらちゃんと動かせなかったら格好悪いので 書いてませんでしたが、その後見通しが立ったので宣言しちゃいますが SDL Watch::TNGはtDiary + Hikiでいきます。 なるべく早く(できれば今夜)パイロット版上げたいと思います。 発表場所はIRCですのでどうぞよろしく(集客中)

_ なので、 SDL KBをひきうけることは可能です。 単純な変換ならflat text(←という言いかたでいいのかどうか)で 送っていただければのっけるんですが、 そうでないなら....Tikiも入れてそこで管理したほうが早いかな。

_ あ、あとURL変更なしとか寝言言ってますが、 これは無理です。入口(watch.html)は同じですがそっから分離します。 古い分はそっくり残すつもりです。


_ 久しぶりに触ったRuby、どうもまだエラー追跡がへたっぴですが まあなんとかなります。しかしinstance_evalってすごいですなあ。


= SDL Watch::TNG パイロット版

_ あげました。URLは#sdl-fan-jpのトピックに書いてあります。 説明についてはログ見てください。御意見御感想御質問などありましたら メールかIRCでおねがいします。

_ URLの変更はない予定ですが内容についてはまだ変わるかもしれないので 正式公開までリンクはご勘弁下さい m(__)m


_ と思ったらここの文章を更新するrsync(--deleteつき)で、さっき上げた tDiaryとHikiが消えてしまった(;_;)

_ 設定やりなおし。

_ ...

_ rsyncの--excludeのパターン指定でハマる。今までうまく動いてなかったのね...


2003/03/08 (Sat)

_ なんか14時まで寝てしまった。まさに不覚。

_ どうせ天気もよくないのでひきこもってDDRしたり。久しぶりに米炊いて 食った。うまし。

_ SDL Watch TNGに関する作業。手作業なので飽きる(;_;)


2003/03/09 (Sun)

_ また寝坊。

_ 日々の予算である1000円だけ持っておでかけ。ちょっとだけ遊ぶ。

_ SDL Watch TNG手作業続き。あんまり単調な作業なのですぐ飽きる。 すぐ飽きるのでttyrecで録画しながらやってたのだが、出来たの見ようと したらちゃんと撮れてなかった。どんどん画面が崩れてゆくような。

_ 作業の方はようやく半分を越えたという感じ... 毎日少しずつやろうかとも 思ったが、こんな作業を平日にやるというのもなんかねえ... といって 今はもうやる気がしなかったり。


_ でも溜めといてもしょうがないので頑張ってやる。なんとか第一段階は終わった。 とっても目が疲れた。頭痛もするし腰痛も。うわもう1時じゃないか。寝る。


2003/03/10 (Mon)

_ 普段は6枚切りの食パンで鳥はむサンドを作って弁当にしているんだが、 うっかり8枚切りを買ってしまったらしい。1枚だと当然薄いが 2枚だとちょっと食べすぎ?悩んだ末2枚で挟むことにした。 見切品だったし早目に消化しないとやばそうだったのでと言い訳をする。

_ 昨日DDRやってたら始めて早々うっかりHealing Vision(Angelic Mix)の STANDARDを選んでしまい、言われるままに踏んでたら後半で閉門になった (クリアしたことありません)。で、次の曲を選んで始めようと思ったら 目の前に「点」が大量に泳いでいることに気付いた。 いつもの飛蚊症に似ているがなんか光ってるし。 5分ほどでおさまったがなかなかサイケな体験だった。


= SDL Watch TNGその後

_ 別に隠す意味はなにもないことに気付いたのでリンクつけました。

_ とりあえず過去のparserを流用してtDiary + Hikiに機械的に変換を行って 公開したのが先週の金曜。週末を使って無駄なエントリを削ったり リンク貼りなおしをしました。

_ 残ってる作業は以下の通りです

SDL Linksは、募集だけしておきながらちっとも形になっていなかったので、 リニューアルを機になんとか形にしてみたいと思います。

_ 現在問題になっているのは以下の通りです。

上の2つについては、
[HOGE] ああああ1
本文

[HOGE] ああああ2

[HOGE] ああああ3
本文
といったような場合、ああああ2がサブセクションのタイトルとして認識されず、 ああああ1のセクションの一部となっているといった感じです(カテゴリあり/なしに 関わらず)。 私の理解が怪しいのでドキュメントとソースを眺めながら検証しているところです。

_ あとはバックアップ関連の仕組を作っておかないと。今のところCVSを 使ったバックアップというのが頭にあります。ついでに、 ブランチ作ってlocalでtDiary + Hiki動かして更新→本家にmerge なんていうことができると面白いかな。別に記事書いた時刻を胡麻化したいとか そんなつもりは毛頭ないのですがええ。


_ いろいろテスト中なのでボロボロになることもあるかもしれません。

_ しかしなんでTNGの前にコロンつけたんだろう。

_ ありがとうございます。 ファイルの形式としてはHikiといっしょみたいですね。あとは WikiNameの衝突(の可能性)をどう排除するかといったあたりが気にかかります。 一緒にしちゃえば相互参照が楽になりますが、分けたとしてもInterWikiNameで 解決可能か...

_ で、robots.txtですが、存在をすっかり忘れてました(殴)。 zinnia.dyndns.orgはあくまでrisky-safety.orgのミラーサイトという 位置付けで、あまりこちらへの直接のリンクを歓迎しないという意味で 入れといたんですが、zinnia.dyndns.orgにしかないコンテンツも 増えてきましたし、今では害のほうがでかいのかもしれません。 というわけでどけました。


_ うおっ、Hiki 0.411ではupdate_procが空っぽだ。

_ 必死こいて(tDiary + Hiki) + CVSのツール書いてるけど、 ひょっとしてここらへんてすでに誰か作ってたりするのかなあ。 作るのは簡単だけど(tDiaryの方に仕掛けてみた)ちゃんと動いているかどうかを 確認するのがとても大変なので、誰かが作ってるならそれ使わせてもらいたいなあと 思うてみたりする。


_ うおお今日はとっても寒いなあ。昼間っから寒気がすると思っていたが 気温が低かったのか。

_ と思ったらやっぱり寒気もしているらしい。一回休みでそろそろ寝よう。

_ なんか水の出が悪い。21時ですでに凍結開始ですか...やばいなあ。


2003/03/11 (Tue)

_ あまりに寒いので靴下履いたまま寝てしまった。堕落の始まりか。 しかしまあよく眠れたのでよい。


= BSD magazine the DVD

_ 「全記事」のPDFと聞くと思わず謎のような微笑を浮かべてしまうが... PDFとOpenBSDのために買おうか。

_ しかし金がない。


_ 故あって自宅のNetmeeting用のマシンのセットアップをやりなおさなきゃ いけないのだが激しくめんどくさい。息をするのもめんどくさい。

_ めんどくさいからOpenH323でいいか。Voice Onlyということで。ゼーレごっこ。

_ 極めてどうでもいいと思っていたが キャンディキャンディはちょっと聞きたいぞ。やらんでもいいけど(なんてことを


_ .NETになればきっとすごいシリアル通信のクラスとかあったりするのかなと 思って目を皿のようにして探したけど見つからないねえ。

_ Vectorにはそういったクラスが公開されていたが(金とるらしい)、 中身見てみると昔ながらのCreateFile→SetCommState→Write/ReadFile→CloseHandle だねえ。

_ 文字列の中でも補完が効くのってバグっぽいですなあ。 kernel32.dllと入れて閉じようとするとkernel32.DllImportAttribute とかに なっちゃうのはとてもむかつくんですが...

_ メモ続き

自動構文チェックみたいなやつははどうやってoffにすればいいのかなあ。 便利といえば便利だが、遅いマシンではうっとうしい。というのも、 重い処理の最中にキーの状態を取りこぼすことがあるらしく、 CTRLが押されっぱなしなったり、pとかbとかをあちこちに落としてったり、 勝手にスタートメニューが立ち上がったり、勝手に範囲指定された上に pとかbで置き換えてくれたりと邪魔ばっかりするのだ。


_ OpenH323内にあるsimph323でテスト。失敗。

_ 色占い。 青でした。「あなたが適している職種: 完全犯罪者、猟奇殺人者」に 今日二度目の謎のような微笑が。


2003/03/12 (Wed)

_ 靴下履いて寝る夜2日目。 とっても寒い。1月に逆戻りしたような感じ。 昼間は5度前後まで気温が上がることが多いので、夜に降った雪が 昼まで残ってることはないんだけど。


_ これについて ごにいさんから ご教示いただいた m(__)m

_ 妙なアイディアが浮かんだのでそれ実装。おもろいので採用。 といってもまだlocalでしかやってないので反映してません。 データの手直しもついでにしたいので....

_ システム的にはボロいけど、ちょっとは先が見えてきたかな。

_ tdiary.orgちゃんと読んでいれば....



= VC#.NET

_ どうもバグが多いような気がするなあ... #ifと#endifを消したら なぜか#endifが消えてないことになってて、元々#endifがあった(今は 全然関係ないコードがある)ところで#ifがないぞ的なエラーを吐いたり。 ヘルプ手繰ってるといきなりmsdumpcore.exeが起動して落ちたり。 エラーメッセージも分かりづらいし、 戻り値のオブジェクトに対してメンバの補完がきかないのも悲しい。

_ さらにメモ


_ うおっ、 隠し曲解禁か。ハァハァ

_ ee'MALLとやらは最寄りは福島か。ドリームファクトリー福島ってどこだろう。 うーむちょっと遠いな。 3rd Style入荷予定。 すごいぜ


_ 2400帰宅。プログラムそのもののがうまく動かなくて惨敗したのは久しぶりだ。


2003/03/13 (Thu)

_ 朝っぱらからコーヒーカップに指をつっこんで熱いしこぼすしで大変であった。

_ さらにメモ

昨日は、FileStream使わずにReadFile/WriteFileなどを駆使して やっていたんだが、どうにもこうにもうまくいかんかった。 VC++に戻りたいと思った。 PCつなげてデータが流れてるのは確認できるのに、デバイスにつなげると 無応答、とか。フロー制御が特殊なのは分かってるつもりなんだが...

_ try and errでやってゆきたいとは思うんだがF5押して実行が始まるまでに1分、 System.Diagnostics.Debug.WriteLineで初回のメッセージが出てくるまでに 45秒もかかるというのはなかなか頭の痛いところだ。 ヘルプ見るのも一苦労。


_ 眠い〜〜〜 昨日は遅くまで起きてたし上の入居者は朝っぱらから掃除器かけて 起こしてくれるし。足音はうるさいし物落とすし朝の4時くらいに 家の前の車のアイドリング始めるし床に置いた携帯のバイブが うるさいし、イビキの振動がすごいし、夜中にケンカするし困ったものだ。 まあ私も夜中に洗濯したりDDRしたり、除雪サボったりスコップ失敬したり してるから大きなことは言えないんだが

_ Hungarian Notationってどうも好きになれんのだが...というか.NETでは 使わなくなったのでは。


_ この体のダルさは徹夜でプログラム組んだりした夜明け頃にそっくりだ。 ダルさの程度は違うけど。一応それなりに寝てるのに徹夜明けみたいな ダルさというのはどうも衰えを感じてしまう。 判断力もずいぶん鈍っていてポップンの点数が悪い(またやったのか!)。

_ 鍛えなおすためにDDRやろうと思ったが止めを刺してしまいそうなので 素直に風呂に入った。仕掛人1話消化。玉緒さん、声変わりするかしないかの 中間くらいの時期だったんだな。

_ えーといろいろ溜まってる作業があるんですが寝ます。


_ う、うおおお! 買うでぷ! ぽぷり萌え!

_ 寝ます!


2003/03/14 (Fri)

_ 今日は某女子短大の卒業式らしい。またハカマ大量発生。 人によっては卒倒するシチュエーションなのかもしれないが私は茶髪はどうも...

_ 朝起きるのが辛いので起きる時刻がどんどん遅くなってくる。 さすがに身支度と弁当作りを8分以内に終わらせるのは厳しい。 10分なら大丈夫。

_ ラップは一度切り口が貼り付くとなかなか剥がれてくれない。これで 5分ロスしてしまった上にまだ剥がれていない。 ラップで包めないのでせっかく作った鳥はむサンドも家に置きっぱなしだ。 朝っぱらから腹立たしい。


_ シリアルのクロスケーブルを今になって探すと苦労するんだねえ。


_ さて明日も出勤なんだけど、前半は電気が通ってないという問題が。 電気の通ってない会社に何の価値があるのかという話だが仕方ない。 でも少なくとも4時間は電気なし(空調もoff)の状態で暮らすわけなので... といっても自宅にも空調ないけど(・∀・)

_ とりあえずCF-B5Rのバッテリが生きてる間はSDL Watch::TNGの作業をするとして、 他に何か暇を潰せるものを探しておかないと。

_ 今日麩まだまだいけてますYO!


_ Sweddish2、ちょっと進歩して95558点を記録。

_ キャンディキャンディって新曲じゃなくて6から入ってたのね。いやんお恥ずかしい。

_ DDRはHolic(TRICK)で交互踏みの練習。


2003/03/15 (Sat)

_ 今日もまた寒い。

_ まだ足のダルさが残っている。寝不足だし。

_ あと30分くらいは電気が使えるので、今のうちにPCをたくさんいじっておこう。


_ 停電キター

_ ちょっとひと休み。


_ 外に出られんのでSDL Watch::TNGの作業とか。手作業は大部分終わったかな。

_ と思ったんだけど、行をつなげる作業がまだ残っていた。画面内の1行、に、 おさまりきらない行を書くという習慣がないもんで...

_ preも頭の痛い問題なわけだけど、さほど数も多くないし今後も 頻度は低いだろう...ここまで修正の手間をかけすぎているので、今更 etDiaryスタイルへの移行を考えるのはちょっと抵抗が。


_ Joke Watchについてコメントをいただいたので久しぶりに調査活動。 懐しい感じだ。単語1個、フレーズ1つを時間かけて吟味するのはやっぱり 楽しい。納得の行く訳が出来ればだけど。

_ さて、Joke Watch::TNG(またか)をどうやって新しい仕組に組込むか思案せねば。

_ ところで、こういった調査活動を、英和辞典1本でやるのはやっぱり無謀な わけで。英英辞典を買おうかなあと思っている。 OneLookというサイトがなかなか いい感じなので(いろんなオンライン辞書サイトのメタ検索サイト)、 ちゃんとしたものを買うまではここを使わせてもらおう。


_ 筋肉痛。DDRでか。ありえん。 昼間すげー眠かったし...

_ 自分はイビキをかくのだろうかと思って夜中にラジカセ動かして 録音してたことがある。半月くらい続けたけど、 とりあえず寝言は言ってたようだった。イビキは1回だけ。 前日はとにかく気苦労の続く日だった記憶がある。

_ 計測したのはもう4〜5年前だが。最近はどうなんだろうというところで どこに話を結びつけたいのかなんて言わないぞ(なんでや


2003/03/17 (Mon)


= SDL Watch::TNG

_ ぼちぼち始めています。いじってみたい方は おしらせください

_ 残されたToDo:

編集したときのuserによって自動的にsignatureがついたらかっこいいんですけど。 pluginだけで実現するのはとても大変そう。

_ Jokeについては、SDL Watchの中でやることも考えたんですが、 蘊蓄垂れて長くなると読みづらいと思いますので、ここ(ZHT)に 吸収しようかなとかちょっと思ったり。あるいはHiki側で全て済ませてしまうか。

_ うむそれは 頭にあったんです。 誰がいつupdateしたのか、を、リストとして出力するだけなら簡単かな。とは 思うんですが、どのセクションを誰が書いたのかというのを残すのはちょっと 大変そう。

_ やはりJokeをここに吸収するのはよくないなと思った。なんか別の手を考えよう。


= rRootage

_ NetBSDでもコンパイルand実行できました。うちの環境(CF-B5R)だと -lowresじゃないとちょっと辛いですが...



= 昨日の記録

_ ROCK GUITARやってきました。んーいまいち。特に叩けるようになりたいとは 思いませんでした。 アレグリアのH/Exは解禁じゃないのか...

_ Burnin' the Floor(Momo mix) (Heavy)、やっとクリアできるように なってきました。


_ あれこれ忙しい。雑務に追われて気付いたらもう1700だ。ああ。

_ うおっ、tDiary.Netから電波が。 埋もれないように頑張ろう。


_ うーむ腹が減った。帰るか...

_ 何故日本人は英語が苦手なのか。なんか自分が 3行前で何を言ってたのかも覚えてなさそうな文章だけど、妙なパワーを感じる。 苦笑しつつも最後まで読み進めてしまった。

_ 「気づきましたた」みたいな隙だらけの表現も狙ってやってるのかと思えてくる。 何言いたいのか分からんけどとりあえず無害だし。


2003/03/18 (Tue)


= blogについて考える。

_ otsuneさんちより。


= 文化とリンクSDL Watch::TNG

_ TrackBackというのが何者なのか未だに分かってないし、敢えてピントを ずらした話を以下に。

_ 前にも書きましたが、SDL Watch::TNGの主眼は以下の2つです。

とりあえず1project = 1ページ というのは兼ねてから頭にあったことなので (初出)、Hikiを使うことは比較的早い段階で 決まっていました。

_ 日々の記述を残す方法については、なにしろそういうものをいじった経験が まったくなかったので(blogも日記も熱心には読んでいません)、 さほどの評価も経ずに(たむらさんの助力を受けやすそうな)tDiaryを選びました。 従来が日付ベースでいじってましたので、それに倣って、 1記事 == 1セクションにしてみましたが、たむらさんのおっしゃる通り、かなり 無理が来ていると思います。別に深い考えがあってこうなったわけではなく (日付orientedな考えかたが私の中にあるのは否定しませんが)、 単に私の経験が浅くて視野が狭かっただけのことです。

_ SDL Watch::TNGは、 私が今知っていることを組み合わせて今できることをやっただけで、 現時点ではtDiary + Hikiじゃなきゃいけない理由はあまりないと思います。 boost memoのような実現の方法もあるわけで。

_ といっても、 作業開始から実働2日でパイロット版を出すことができたのは、 やはりtDiaryとHikiの持つ能力の高さのおかげなのだろうと思っています。 中身(コンテンツ)だけに集中できたので、移行自体はかなり楽でした (かなり手作業が入りましたが、それは変換ツールの作り方がヘタだっただけで)。 そういう点でも、tDiaryとHikiは気に入っています。 今後、場所やシステムを変えて運用することになったとしても、 tDiary + Hikiで溜めておいたデータというのは いろいろ加工しやすいですし、最善とは言えなくても、 よい選択だったと思っています。


_ いじってほしい人に強制的にアカウント情報を 送りつけるというのはどうか(殴


= 昼休み

_ 切符を買った。銭が〜

_ 前回で懲りたので指定席を買った。 日本語めちゃくちゃ。


= w3mのタブ

_ 今更ながら使い始めました。 とりあえずこんな感じで...

keymap	M-T	NEW_TAB
keymap	T	TAB_LINK
keymap	C-w	CLOSE_TAB
keymap	C-t	TAB_MENU
keymap	M-C-n	NEXT_TAB
keymap	M-C-p	PREV_TAB


_ いつの間にpkgsrc/emulators/vmware3が。うれしい。


2003/03/19 (Wed)

_ うーむ明日はもう帰省当日か。今日のうちに荷作り済ませておかないと。

_ 仕事メールいろいろ。NTEmacs + SKKがこちらの動きについてこれないらしい。 「お世話になっておます」とか。

_ 久しぶりに強めに雪が降っている。と思ったら1時間で止んだ。


_ 米沢に住んで長いけど、学校や会社の人と街でばったり出会うということが ほとんどない。米沢の人口の大部分が半径10kmくらいの市街地に集まっていて、 ただでさえ娯楽の少ない土地とか言われているのだが、見事なほどに 行動パターンが合わない。

_ そんな感じなので、ある特定の一人とばったり会う可能性はとてつもなく低く、 近所に住んでいるのに前に会ったのが2年前、なんて例も多々ある。 まあ去年の今頃に入居してきたお隣さんと まだ一度も顔を合わせたことがないのも問題といえば問題かもしれん。 その前に隣に入居してた人とはついに一回も顔を合わせなかった。

_ などと書いているが実は忙しい。いや忙しくはないのかもしれない(どっちやねん) こんなこと書く暇があるくらいだし... 忙しくはないんだけど、忙しいとき以上に 消耗することってありませんか。今がそれ。

_ 今度はアトムが住民登録だそうで。これも現実と虚構の区別がついてない例なのか。 (別の例)


2003/03/20 (Thu)


= screen-3.9.15

_ が、出たようです。でかいのさん、 あいかわらず仕事が早いです。

_ 私は3.9.14betaを追ってたまでは 記憶があるんですが。ではdiff -urN screen-3.9.14 screen-3.9.15...おお、 windowlistで^N/^Pが効くようになったみたい。個人的にはmarkkeysの設定を 生かしてほしいなあとは思うんですが、こういうのを対応させるのって意外と 大変そうな気もちょっとしてたので...


_ なんか知らんけどMacOS X触りたい病らしい。

_ なお今日の夜から横須賀なんですが、明日は兄貴が仕事らしいので 誰かあそんでください。


= emacs 21.3

_ 新堂さんちより。出たのかー 帰省したら入れようかな。



= 米沢駅 1831

_ 福島まで在来線で移動して、そこから新幹線の予定。MAX(・∀・)イイ!

_ 自宅には2330くらいに着の予定。

_ 駅のコンビニでおにぎりを買った。完全民営化記念おにぎり。なんかその 完全民営化記念というのがよっぽどうれしいのかどうかのテンションがいまいち 分からないが...


_ 米沢脱出も久しぶりだ。


= 福島駅 1941

_ 自由席ガラガラであった...

_ また人の席に座ってる奴がいるし...前回は単純に間違えたけだったんだが、 今度はなんか席換替えてくれとか抜かすし。



= 自宅 0047

_ つかれました。

_ 寝ます。


2003/03/21 (Fri)

_ やっぱり8時に目が覚めるらしい。

_ あいかわらずNetBSD側で無線LANが使えないので実家のノートパソコン (WindowsXP Home)経由。キーボードが広すぎて打ちづらいなあ


_ 実家のサーバのメンテ。いつの間にか1.6Qになっていた。

_ s30をいじる。最終的にはCF-B5Rと交代するので、そのときはNetBSDで生きてゆく つもりだが、その前に兼ねてからのLinuxへの苦手っぷりをなんとかしようと 思った。まあノートパソコンに慣れてないOS入れるのはとっても大変なのは 分かっているんだが。でGentoo入れようと思ったんだが、USBのCD-ROMドライブだと キーボードの種類を指定した後におかしなことに。cdcacheなんて オプションが救いになるのかなと思ったがやっぱり駄目らしい。

_ さて、どうしよう。デスクトップにつなげて入れちゃうのがてっとり 早そうだが...

_ あぁ。 ネットワークブートで入れるという手があったか。


_ 新・夢千代日記見た。中村亜湖さんの脱ぎっぷりのよさにハァハァであった。


2003/03/22 (Sat)

_ Grubはこの問題にひっかかり void __enable_execute_stack(){}なんてので解決を試みるあたりがなんとも。

_ で、カーネルとinitrdを読むことはできたんだけどやっぱりうまく起動して くれなかったのでデスクトップにHDDつなげてインストール。

_ なんか/etc/rcがないんですんなり起動してくれなくなった。ううむ。


= 新必殺仕置人

_ 今回の帰省の目的の半分を占めている。世間の評判を知った上で喋るわけではないけれどやっぱりすごい。パワーという点では前のシリーズだって十二分に出ていたと 思うが、ここに至ってそのパワーを完全にコントロールできている感じ。

_ 「仕置人」で鉄と別れてから、主水は多くの仲間を失い、何度も挫折を 味わっているわけだが、ここで語られたのは直前の「仕業人」の仲間である 赤井のみであった。


2003/03/23 (Sun)

_ 今度はDebianで。今度はフロッピーの入れ換えができないらしい。 またtftp使うのか...

_ tftpboot.imgとroot.binでインストーラは起動。

_ ...ドライバをどうやって読ませればいいのだろう。

_ さあ次はPlamoだ。(←)

_ ...なんかCDブートがすごく不安定だ。これって外部電源つなげてないから? ...どうもそうらしい。

_ これが噂の萌え絵か!

_ それにしても今日は暖かいなあ。米沢でこんな風が吹くのはあと何か月後かな...

_ うぐうやっぱりブートした後からCD-ROMを認識してくれない。 インストールメディアにftp選べないのか...しょうがないのでDebianの iso落とすか...


_ q=risky+safetyなんてのがひっかかってると申し訳ない気持ちになるもんだが、 なんかOfficial Siteらしい。


2003/03/24 (Mon)

_ うーむもう帰還当日だ。それにしても眠い。

_ のんびり在来線で帰るつもりだ。


_ それにしても今回の帰省はひきこもり生活であった。 見事に一歩も家から出なかった。もうちょっと休暇が長ければ トレンクル持ってかえってきてあちこち走りまわったりしたいんだけど。


= 上野 1233

_ 自宅〜衣笠まで妙に混んでたり、うっかり寝過して東京まで乗っちゃったり、 うっかり山手線に乗っちゃったり、いろいろロスしたけどなんとか到着。

_ 例によって5〜6番ホームでカレーとアイスティ。 コートが邪魔になるくらい暖かい。吹く風も気持ちいい(・∀・)。

_ リアルゴールドを飲もうと思ったが棚卸し中で買えなかった。 自販機が棚卸しというのは謎だ。 しょうがないのでファンタのメロン。なつかしい。 フリードリンクの頃は こればっか飲んでた。


_ あまりに車中が暖かかったので熟睡。いい天気だったし。


= 黒磯駅 1547

_ ソバを食った。相変らず胡散臭いダシが最高だと思った。

_ 研究室の方々に「ひよ子」を買って帰るのを忘れてしまったので MAX COFFEEを買った(意味わからん)

_ 前回は4両編成だったけど今回2両らしい。



= 郡山駅 1659

_ さすがにここらへんまで来ると多少は寒さを感じる程度になってくるな。

_ 郡山での15分待ちというのはちょっと中途半端な感じ。寄り道するには 時間が短かすぎるし、福島での待ち時間も減るし...



= 福島駅 1838

_ 大急ぎで2DDR、1ポップンしてきた。

_ なんか西口の待合い室が土産物屋に変わってた。読書スペースが1つ減ってしまった...



= 自宅 2116

_ 帰省中は慢性的に寝不足だったのでさっさと寝るかしら。

_ しかしこの時刻で室温が10度を越えているのは驚きだ。4日も土地を離れてると こんな感じだから怖いねえ。


2003/03/25 (Tue)

_ 寒くないので起きるのが楽だった。 でもちょっと体がダルい。腰痛もあるな(T^T)。


_ 1日放置したカロリーメイトの乾いた感じが好きだ。

_ 昨日飲んだ生絞りHalf and Herbは水みたいな感じでしたが決してdietしている わけではありません。そういうわけで議会制弾幕回避機関なんですが、 「人手の操作を学習させて」というのはABAさんのページ読んでるときに ふと思いついて、奇遇だなあと。

_ 難易度設定に苦しんでいる製作者の方々にいろんなレベルの人の 避けデータを使って評価してもらうとか、避けかたの問題点をなんとなく 調べてみたいとか。


_ 神奈川に未曾有の危機が訪れているようで。私も実家に帰りづらくなるので なんとか阻止してほしいなと思う次第。

_ おまけ:

> mycom BASIC MAGAZINE kyuukan!
<X23> majide-
<X23> imatte VB no gameno source toka notterundakkeka
<X23> mitene-
> moremo
<X23> ara!
> tajima yo-ko ga kanagawa kenchiji ni rikkouhodatteyo!
> shine!
<X23> majide--------------
<X23> kimochiwaru---
> konkaiha touhyouritu takasoudana!
<X23> haha-!


_ 昔あまり好きではなかったが今は大好きなもの: 茄子、納豆、牡蛎、蕎麦、牛丼

_ 昔は好きだったが今は駄目なもの: ウニ、イクラ、塩辛

_ 当たりつき: 生鮭、生牡蛎、鯖

_ 20過ぎてから苦いものがおいしいと感じるようになった。辛いものは最近は 苦手かも。甘いものも同様。でんぶをご飯に載せて、というのはたしかに今じゃダメかも。


2003/03/26 (Wed)

_ 今日はCharlie師匠が訪米する日。だ。28日まで滞在される予定らし。

_ WindowsとWiki、未だにいろいろ 選別の作業とかをしていた。今日はPukiWikiをインストールしてみた。 なんだ簡単じゃないか。PHPだから難しいだろうと勝手に思っていたが。

_ どうもPHPは好きになれないが動いてくれるなら文句は言えん。というか 好きになった(現金)


2003/03/27 (Thu)


= メモ

_



= 昼休み

_ 仕事の電話に邪魔されつつもDSSSLの勉強とか。なんか今更感が漂っているので どうもモチベーションが上がらない。

_ ...あくまで試験的なものですが上げてみました。 モナーだらけ。

_ ↑すぐに消しますのでリンクはご勘弁ください。 評判がいいようならmergeします。

_ 以下解説。 解説文だけは昨日のうちに書いてたので時系列がちょっとあれです。


= SDLdocとSDL KBとWiki

_ モチベーションが上がらないのでネタ披露して反応を探ってみたいと思うんですが... SDLdocがWiki化されたら便利かなーと思ったんです。 本家もPHPで管理してますけど、 イメージとしてはあれに近いです。

_ SDL Watch::TNGではsdlapiプラグインなんてものを試験的に入れてみたんですが、 ここはやはりHiki側に飛ばすのがよかろうというのが一点、それから、 各ページごとに内容についてのコメント、と、使いかたについてのコメント、 なんてのを入れられたら便利かなと。

_ こういうアイディアが翻訳作業中に出ていれば、査読作業も楽になりますし、 もうちょっとモチベーションも上がっただろうとは思うんですが...

_ で、SDL KBのデータをすでに 頂いているのに動きがないのはそういった理由だったのです。ごめんなさい。 SDL KBとSDLdocの融合、というようなつもりじゃないんですが、SDL KBで積極的に SDLdocの内容を利用できるようなフィールドを用意したいなあと考えています。

_ 現状で考えているのは

といった感じで、上の2つはスタイルシートのカスタマイズで対応、 最後のはHikiのプラグインでという流れになると思います。 なのでDocBookとDSSSLの勉強を今更やっているんですが、 どうもモチベーションが...というわけで冒頭に戻ります。

_ 出力されたHTMLを加工してもいいんですが(phpはそんな感じ)、 どうせやるならきちんと仕組つくっておいて、他のプロジェクトでも 流用できる形で公開できたらうれしい人も多かろうと、 いつもの悪い癖が出ております。

_ あと

zinnia@freesia:/usr/pkg/share/sgml/jade[11]% jade demo.sgm
zsh: segmentation fault  jade demo.sgm
これもなかなか萎え。-O0でもダメなのか... GCC 3.2.1入れてみたけどこっちはコンパイルが通らないらしい。

_ openjadeというのがあるのね。こちらを試してみよう。

_ ...おお大丈夫みたいだ。これでいこう。


2003/03/28 (Fri)

_ 小田嶋さんちのiPodが死んだそうだが結局小田嶋さんは自分でiPod買ったのだろうか などと要らんことを考えつつ読むと味わい深いものがある。


= 昼休み

_ 電話ばかりで激しく眠い。


_ まだ帰還して4日しか経ってないのか。 帰ってきてからあほみたいに忙しい。でもプログラムは全然書いてない。 プログラマなのにどういうこっちゃ

_ で明日もなんか仕事です。


2003/03/29 (Sat)

_ 実家からの荷物を受けとり、学校に行ってパソコンポの手続きをして(引き取りは明日)、 IEEE1394のケーブル(6pin⇔4pin)を買って、DDRやってから出社。 桜は途中の遅いゾーンがとっても苦手。蒼い衝動とxenonはもういいや...。 Last Messageは踏みっぱなしが難しい。あいかわらず足7〜8あたりが ちょうどいい感じ。


_ ちょっと仕事して帰宅。DDRの復習やって風呂入った。

_ さて実家からs30がやってきて、無線LANの基地局もやってきたので、 いろいろ作業せねば。 関係ないけど↑で送ろうとしているのは元ee5lanceのマシン(を譲りうけたもの)の モニタ部分。で、PC本体は自宅に持ってかえってきた。 キャリーカート初仕事。大活躍であった。 本来の用途には使ったことがない。


_

呪われた一日だ。Internal compiler errorの方は、 負荷とかは関係なく同じところで止まるが、コンパイラ側のバグを踏んでいる ことを祈りたいよ。

_ ...とりあえず別マシンで広がってるBSDSRCDIR/BSDOBJDIR/DESTDIRをコピーして build.sh installしてみるか。

_ ちょっといじってみたs30の感想...主にキーボード関係:


_ やっぱり同じところで止まるなあ... やっとれん。


2003/03/30 (Sun)

_ パソコンポの予約は午前中ということなので、いつ来るのか分からんので 早目に学校へ。めちゃ眠い。

_ どうもトラックボールの調子がよくない。左右の移動がものすごく辛い。 画面の端から端まで行くのに30回転くらいしないとだめだったり。 埃でも噛んだかなあ。ボールの転がり具合は普段より全然よいんだけど。

_ PCは持ってきたけどネットワークがつながっていない。 なので溜まってたメールでも読むか。


_ モニタを手元に移動させてたら小林先生と遭遇。日曜でも出勤ですか...

_ 500通ほど溜まったメールを読みながら SDL users MLの記事の参照に ついて考えてみた。 手元で溜めときゃどうにでもなるけど、やはり↑のページのURLを引くように したいし。


_ 出荷おわり。しかし事前に電話寄越してくれるんなら家で待ってても よかったんだが...

_ さていったん家に戻ろうと思うが、それからどうしたもんか。

_ ...

_ 家にいると寝てしまいそうなのと、 ミューズリを切らして久しいので山形に行くことに。電車で寝よう。

_ ありゃ、トラックボールの調子が戻ってる。どういうこっちゃ。 腹いせにIntellimouse Trackballでも買ってきてやろうかと思ってたのに。


_ 電車に乗りこんでさあNEO25Gで音楽聞こうと思ったら起動しない。 耳をすましていると、HDDのスピンアップが始まって1秒後くらいに強制停止に なっているらしい。

_ ...ちょっと泣きそうです。


_ 仕事の電話のせいで1本後の電車で引き返したりで踏んだり蹴ったりだ。 といっても1本後の電車は1時間後なので1ポップン1beatmaniaやって ミューズリ買える程度の時間はあったんだけど、1600円も使って何やってんだという 気がとてもした。あとYahoo!BB女が山形にも出現していたのにはわりとびっくり。

_ で、会社。 ラーメンに載せる海苔の意味がよくわからない。どこまで追いかけていっても ラーメンの風味とマッチする瞬間が見つからないというか。

_ なんか仕事する気がまったくしない。帰ろうかな。体の疲れもあるとはいえ、 この程度で情けない。


_ s30に刺さってるGREENHOUSEの増設メモリを抜いて試してみる。 同じところで止まるなあ。まあ<=1MBのところでエラーが出てるんだから 当然か...

_ もう一度memtest。 あれ、昨日とエラーの出る場所が違う... 今度はover 128MB以降で ものすごい数のエラーが。ううむ。


2003/03/31 (Mon)

_ memtest、拡張メモリ抜いた状態で29回完走していた。うーんわからん。

_ そろそろ従来の装備では暑い感じ。フトン1枚減らすか。

_ 拙者も卒業後3年たってるのに荷物持ち出してたりしてるわけですが、 在学中の思い出といえばソーサリアンのシナリオを全部クリアしなおしたとか、 Xanaduデータブック片手に高速解法を試したりとか、Wiz#1やったりとか、 火の鳥やったりとかいろいろ。忙しい毎日でしたねえ(←)。



= 時事

_ ロビンソン宇都宮店、今年9月に閉店らしい。

_ 「ふげん」、向こう10年間は準備期間とかで、解体技術の研究とかするらしいという 話を聞いて今からかよ! とツッコミを入れてみた。

_ 全日空システムダウンで減俸の処分。1ヶ月間のみで最大20%というのは ずいぶん軽いなと思ったが 先日のあれとは別の話みたいだ。


= ご利用いただいている個人ホームページサーバ www5a.biglobe.ne.jp は、2003年 3月 26日より新サーバに移転しました。

_ 「また、ご自身でDNSサーバの運用をされている場合は、最新の情報に更新して 下さい」 ほう。


_ やっとプログラム書きを再開できる...仕事始めてもう5時間たってるんですが。

_ と思って仕事始められたのはさらに1時間半後。 ここにいるとアフォ化がどんどん進行しそうだ。


_ 日通航空からでんわ。領収書の 発行手順間違えたので再発行させてくれとのこと。 確かに慣れてない感じだったからねえ。

_ で再発行。苗字(漢字)+名前(カタカナ)表記だとエヴァンゲリオンのキャラみたいだ。


_ うーむもう3月も終わりなのか。公私ともにいろいろ考えさせられる 月だった。公私ともにほとんど成果のない一ヶ月でもあったが。 「Think different.」を見て、考えるだけかよと思ったもんだが人のことは言えない。

_ 帰ろう。


_ 4000円/720mlの酒。悪くはないけどこれなら雪漫々とか十四代のほうが コストパフォーマンスずっとよさそうだ。


= 今日のs30

_ 趣向を変えてFreeBSD 4.8-RC2を入れるテスト。増設RAMは引き続き外したまま。

_ ...

_ めし食ってる間にリブートがかかったらしい。うーむ。

_ 二度目はうまくいったようだ。make buildworldでもしてみるか。

_ 何事もなく終わっちゃったよ(がっかりそうに) 不安定なはずなのにいじめようとするとちゃんと 動くというのは却って気持がよくないぞ。

_ 増設メモリを挿してmemtest仕掛けておこう。


_ PS2版7thが出るか出ないか微妙らしい。まじですか。 IIDXってそんなに売れてなかったのか...

_ せめて7thまでは出してほしいなあ。まったく歯が立たなくて恥ずかしいので 敢えて金払ってまでやろうとは思わなかった stoic/MAX300/ZERO ONE/A/革命とか、別の意味で恥ずかしいLast Messageとか、を、 家でじっくりやろうと思ってたのに...




Zinnia (zinnia@risky-safety.org)
Back